• .
  • Willkommen im Forum!
  • Alles beim Alten...
  • Du hast kaum etwas verpasst ;-)
  • Jetzt noch sicherer mit HTTPS
Hallo, Gast! Anmelden Registrieren


Logikchips selbst gemacht
#61
Beeindruckend überrascht
 
#62
Könnte das unsere runtergekommenen Oszi-Threads wiederbeleben? misstrau

http://www.wiesolator.de/index.php?area=...CPLD-Scope
 
#63
Falls Du nicht irgendeine Anwendung hast, in der Du Intelligenz direkt vor Ort brauchst (z.B Schnelle Triggerung auf berechnete Größen), bist Du mit einem USB-Scope besser bedient.

Da lohnt m.E. der Aufwand nicht...
 
#64
Wer hat denn jetzt - bis auf Alfsch und Hans - eigentlich ernsthaftes Interesse an diesen Chips?
 
#65
Der Resonanz nach zu urteilen ist VHDL ähnlich tot wie Latein. ;patroni
 
#66
DAS erscheint mir dann doch eine unzulässige schlussfolgerung Cool

tot mag die resonanz sein, aber VHDL is wohl immer noch DER standard zur chip fummelei....
i.ü. is das etwa so wie C : das is ja auch nicht tot...
    Don't worry about getting older.  You're still gonna do dump stuff...only slower
 
#67
Wer ist eigentlich Schuld daran, dass ich mit diesem Mist angefangen hab? misstrau
 
#68
;whistling
    Don't worry about getting older.  You're still gonna do dump stuff...only slower
 
#69
So. Ich hab VHDL gelernt. Die Sprache ist struktureller Schrott. Sowas kryptisches und inkonsistentes hab ich schon lange nicht mehr gesehen.

Trotzdem kann man damit zaubern. Hab mich im Netz mal umgesehen. Die meisten arbeiten "hausbacken".. Rolleyes "Signal-Programmierer" ;kotz

Es geht jedoch auch strukturierter, fast schon elegant. Heart

 
#70
Nimm doch ABEL...

Lungernd,

Holm
 
#71
Holm, was soll ABEL denn so viel besser machen?

imho is das doch auch nur eine art kompiler wie verilog, simili, sinplify, sonata, modelsim usw - oder ?
    Don't worry about getting older.  You're still gonna do dump stuff...only slower
 
#72
Ach um gottes Willen, nicht besser...

Verilog währe mein nächstes Angebot gewesen. lachend

Lungernd,

Holm
 
#73
Zitat:Original geschrieben von Rumgucker

Das kann mir hier keiner erzählen, dass er jemals auf 4004 oder 8008 gearbeitet hätte motz


Achwas? Zählt damit basteln und experimentieren auch nicht?
Ansonsten bin ich Deine Ausnahme.. habe auch früher 1702A Proms auf einer solchen Kiste programmiert (PBT4000).

Ich habe heute noch einen Robotron K1003 (google mal) den ich erst kürzlich repariert habe. in dem Ding rotiert ein U808 mit 500Khz Takt,
das ist ein 8008. 2 oder 3 davon liegen auch noch in der Bastelkiste.

Mit 8080 habe ich noch nie was gemacht, 8085 aber schon.

Lungernd,

Holm
 
#74
DAS finde ich nun wirklich gut! überrascht

;respekt
 
#75
Oha. Das interessiert Dich?

Hier sind noch ein paar Bilder: http://www.tiffe.de/Robotron/K1003/

Wenn Du noch mehr von solcehm Zeuch sehen willst: http://www.robotrontechnik.de

BTW: ich habe auch noch 2 EAW P8000 Compact. Unix Maschinen mit 4Mhz Z8000...

Lungernd,

Holm
 
#76
Da ich in CPLD, Xilinx und VHDL Neueinsteiger bin, hab ich ernsthafte Probleme gehabt (und hab sie teilweise immer noch). Besonders übel ist die Xilinx-Entwicklungsumgebung. Allein den Simulator anzuwerfen ist - trotz aller Automatismen - eine wahre Kunst. Was heißt "DEN" Simulator? Es gibt gleich zwei.

Das sind alles so "kleine" Hürden, an denen Anfänger schnell verzweifeln können. Man hat ja nicht nur mit Xilinx zu kämpfen, sondern auch mit VHDL. Besonders verblüfft ist man, wenn syntaktisch einwandfreie Konstrukte nicht kompiliert werden können. Ich hab gestern zwei Stunden daran gesessen, bis mir schließlich die Idee kam, mal meine Bibliotheken zu checken.

So VHDL-Halbwissen hat jeder. Aber einmal das volle Programm von der Idee bis zur fertigen Simulation und Realisierung... das kriegt nicht mehr jeder hin.

Spannend finde ich Anwendungen für das Pollin CPLD-Board. Zum Beispiel der im Netz verfügbare Logikanalysator (LA). Ein LA für unter 30 Euro. Alternativ kann ma mit dem Teil auch wunderbar einen arbiträren Multikanal-Impulsgenerator basteln oder ein DDS vom Feinsten. Oder ne Lightshow oder ne Alarmanlage oder ultraschnelle Regelkreise oder oder oder.

Mit VHDL und FPGA/CPLD sind Dinge mäglich, die man mit seriell arbeitenden uCs einfach nicht erreichen kann. Bei den FPGAs und CPLDs arbeiten sozusagen tausende kleiner (allerdings sehr dumme) Einheiten vollständig parallel und - wenn man will - auch völlig asynchron.

So können Rechenergebnisse in wenigen Nanosekunden geliefert werden... und das nicht nur auf einem Kanal sondern gleichzeitig auf allen Kanälen.

Für mich faszinierendes Neuland.
 
#77
Zitat:Original geschrieben von rumlungerer
Oha. Das interessiert Dich?

Ja... alte Technik ist immer faszinierend. Smile
 
#78
Ja. mit dem FPGA oder CPLD Zeuchs habe ich selbst auch noch Nichts gemacht.
Definition von irgendwelchen GAL Inhalten ja, aber mit größeren Sachen auch nicht. Ich habe zwar ab und an mal Anlauf genommen, ist aber nie was draus geworden. Ich werde mir auch mal so ein Pollin Ding holen..

Gruß,

Holm
 
#79
Sehr gut. Dann sind wir schon zwei, die damit rumspielen.

Die ollen PALs waren auch schon witzig. Aber viel mehr als einen sonderbaren 8-Bit-Zähler konnte man damit nicht hinbekommen.

Aber die neuen Dinger ermöglichen - allein durch ihren Umfang - neue Ansätze. Sie sind kein Ersatz für hochintelligente Controller. Und sie sind auch kein Ersatz für Signalprozessoren.

Aber sie sind ein Ersatz für haufenweise HCMOS-Chipgräber. Und damit kann man bekanntlicherweise ALLES realisieren.

Aber das ganze Löten fällt weg. Und besonders geil ist, dass man die Funktionsweise vorweg am PC simulieren kann.

Den Controller aus kahlos 500V/0.3A-Thread werde ich eindeutig mit CPLD erledigen. Da kriegt man mühelos alle die bisher vermisste Intelligenz rein. Und das Teil rechnet in Echtzeit. In Nanosekunden.
 
#80
Zitat:Original geschrieben von rumlungerer

Ach um gottes Willen, nicht besser...

Verilog währe mein nächstes Angebot gewesen. lachend

Lungernd,

Holm

VHDL ist für Erwachsene. Alles andere ist Kinderkram.