21.12.2013, 02:28 AM
Also nach dem Quartus heruntergeladen und installiert ist, habe ich mal testweise die 8 LEDs auf dem Board mit einem kleinen Binärzähler in VHDL verklöppelt und bin ganz weihnachtlich beseelt vom Blinken
Mit den 10Mhz und den 2^23 ergibt sich grob 1Hz Blinkfrequenz der LSB-LED. 41 Logikzellen braucht die Geschichte
Und manche verschwenden dafür einen AVR
Code:
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity zaehler is
port
(
clk : in std_logic;
ooo : buffer unsigned(7 downto 0)
);
end zaehler;
architecture z1 of zaehler is
signal teiler : unsigned(22 downto 0);
begin
process(clk)
begin
if rising_edge(clk) then
if teiler = "111111111111111111111" then
ooo <= ooo + 1;
teiler <= (others => '0');
else
teiler <= teiler + 1;
end if;
end if;
end process;
end z1;
Mit den 10Mhz und den 2^23 ergibt sich grob 1Hz Blinkfrequenz der LSB-LED. 41 Logikzellen braucht die Geschichte
Und manche verschwenden dafür einen AVR
Pffffffffft. "Da entwich das Vakuum" - Heinrich Physik, 1857.